拓荆科技深度报告:国内薄膜沉积设备龙头地位稳固,PECVD进入大幅放量期

来源:爱集微 #拓荆科技# #深度报告#
4.3w

主要观点:

1、核心管理层和产品赛道的精准卡位使得公司当前和未来几年成长动力充足。

2、预期国内晶圆产线扩产速度高于海外,对国产设备有着持续的旺盛需求。

3、制程升级、多层趋势和新兴工艺也将持续驱动薄膜沉积设备市场的成长空间。

4、2022年公司业绩大幅增长,但当前产品矩阵稍显单一,PECVD完成0-1产销量能释放,未来业绩高增长仍需观察ALD和SACVD的市场进展情况。

集微网报道,据SEMI数据推算,2022年全球半导体设备市场规模继2021年后将再创新高,达1085亿美元,同比增长5.9%,连续三年取得创纪录的收入。然而“市场先生”却对整板板块保持了相悖看法,全球技术领先的半导体设备厂商自2022年开年以来,公司股价大多发生了不同程度的下探。

图1:2022年国内外部分半导体设备公司的股价表现情况

国际方面,以刻蚀和沉积设备技术为主的设备商泛林半导体(LAM)下跌超过了40%,全球光刻技术领先的阿斯麦(ASML)下跌超过了30%;本土湿法设备龙头盛美上海下跌了37.5%、国内首屈一指的平台型半导体设备供应商北方华创下跌超35%。

然而,拓荆科技的股价却大放异彩,在2022年整体有着高达134%的涨幅,那么国内CVD设备龙头的拓荆科技成长动力能否得到延续?

四轮融资的上市新秀“拓荆科技”逆势上涨134%

资料显示,拓荆科技(688072.SH)成立于2010年,以前后两任董事长为核心的五名国家级海外高层次专家组建起一支国际化的技术团队,完成四轮增资之后在2022年4月20日在上海证券交易所科创板上市,是目前国内唯一产业化应用集成电路PECVD和SACVD设备的厂商,也是国内领先的ALD设备厂商。

从拓荆科技的发展历程中可以观察到,公司目前收入依赖PECVD系列产品,ALD产品及SACVD产品尚未得到大规模验证。目前公司设备已适配180-14nm逻辑芯片、19/17nm DRAM及64/128层FLASH制造工艺需求,广泛应用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂产线,打破了国际厂商对国内市场的垄断,与国际巨头直接竞争,在研产品已发往国际领先晶圆厂参与先进制程工艺研发。

图2:拓荆科技公司的发展历程

截止2022年12月,拓荆科技暂无控股股东和实际控制人,国家集成电路基金持股比例为19.86%,国投上海持股比例为13.68%,中微公司持股比例为8.4%,为公司前三大股东。目前公司旗下设有三个子公司,分别为拓荆科技上海(主要为华北区客户提供高端薄膜装备研发、设备供应以及零部件备货等服务,持股比例100%)、拓荆科技北京(ALD设备研发与产业化项目实施主体,持股比例100%)和拓荆键科(从事高端半导体新兴工艺设备研发及生产制造,持股比例55%),公司共有7名核心技术人员,对公司的产品线有着较大的研发贡献。吕光泉先生先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国SSTS部,历任副研究员、工程技术副总裁等职。 2014 年 9 月至今就职于拓荆科技,曾任技术总监、总经理、董事,现任公司董事长;姜谦先生先后任职于麻省理工学院、英特尔公司、美国诺发,历任研究员、研发副总裁等职。2010年4月至今就职于拓荆科技,曾任总经理、董事长,现任公司董事。两任董事长对公司的核心产品PECVD产品的研发和市场化都做出了巨大的贡献。

图3:拓荆科技核心技术人员的研发贡献

薄膜沉积设备卡位前道三大主设备之一

半导体产业的发展衍生出可观的半导体设备市场,主要包括光刻机、刻蚀机、薄膜沉积设备、离子注入机、测试机、分选机、探针台等设备,属于半导体行业产业链的技术先导者。应用于集成电路领域的设备通常可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类。其中,前道设备的市场规模约占半导体设备整体市场规模的80%以上。在前道晶圆制造过程中,共有七大工艺步骤,分别为氧化/扩散、光刻、刻蚀、薄膜生长、离子注入、清洗与抛光、金属化,所对应的设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、薄膜沉积设备、离子注入设备、清洗设备、机械抛光设备等,其中光刻设备、刻蚀设备、薄膜沉积设备是集成电路前道生产工艺中的三大核心设备。

图4:前道晶圆制造各工艺步骤的设备类产品

薄膜沉积是半导体制造过程中构造晶体管的关键步骤之一,薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积,薄膜沉积工艺的不断发展,形成了较为固定的工艺流程,同时也根据不同的应用演化出了CVD(化学气相沉积)设备、PVD(物理气相沉积)设备和ALD(原子层沉积)设备等不同工艺。

图5:PVD、CVD、ALD成膜效果简示


工艺简单、操作容易的PVD设备具有成膜速率高、镀膜厚度及均匀性可控、薄膜致密性好、粘结力强及纯净度高等优点,但是难以蒸发某些金属和氧化物,主要用于后段金属互连层、阻挡层、硬掩膜、焊盘等工艺;CVD设备由气相反应室、能量系统、反应气体控制系统、真空系统及废弃处理装置等组成,是一种通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘薄膜、硬掩膜层以及金属膜层的沉积。常用的CVD设备包括PECVD、SACVD、APCVD、LPCVD等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求,可以控制镀层的密度和纯度,但是反应温度要求较高,沉积的速率较低;ALD可以将物质以单原子膜形式一层一层地镀在基底表面,相较于传统的沉积工艺而言,ALD工艺具有自限制生长的特点,可以精确控制薄膜的厚度,制备的薄膜具有均匀的厚度和优异的一致性,台阶覆盖率较高,特别适合深槽结构中的薄膜生长,是先进逻辑芯片、DRAM和3D NAND制造中必不可少的核心设备之一。

图6:三种沉积设备的特点表现

目前PECVD是薄膜设备中占比最高的设备,占整体薄膜沉积设备市场的33%;ALD占11%;SACVD是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。拓荆科技的主打产品PECVD设备推出较早,并且产品线较为丰富,下游市场应用广阔,国内市场成熟。

图7:拓荆科技主要产品型号

由于半导体技术的同源性,导致拓荆科技在发展过程中多会顺着半导体的底层工艺逐步横向和纵向的扩张。根据公开2022H1中报的信息披露,当前PECVD仍然是拓荆科技营收的主要构成部分,ALD和SACVD虽在加速验证,但是仍未步入放量阶段。这主要是因为我国内地集成电路制造产业起步较晚,晶圆制造产线制程与国际先进水平相比较为落后,先进制程产线处于发展建设阶段,具备先进制程晶圆制造能力较少,当前国内内地市场对ALD、SACVD的设备需求增长较小。

图8:拓荆科技2022H1和2021H1产品销售情况(万)

此外,晶圆制造属于高精密制造领域,对产线上各环节的良率要求极高,任何进入量产线的设备均需经过长时间工艺验证和产线联调联试。对于薄膜沉积设备而言,由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术参数直接影响芯片性能。而对于新客户的首台订单或新工艺订单设备,一般从前期的客户需求沟通、方案设计、样机试制、场内工艺测试与调优到客户端样机安装调试、工艺验证到最后的工艺验证和产品验收通过,整个流程可能需要 6-24个月甚至更长时间。对于重复订单设备,由于已通过客户工艺验证,新到设备的工艺技术一般无需做较大改动,从出货到设备验收通常需要3-24个月的时间。

当前公司下游的主要客户群多为中国内地晶圆厂商,中芯国际、北京屹唐、长江存储、华虹集团、睿力集成为公司的前五大客户,合计占比92.43%,公司的PECVD设备近几年在持续放量,ALD和SACVD设备在客户端仍处于导入阶段,未来随着晶圆厂持续提高国产化设备的采购比例,有望爆发增长。

图9:拓荆科技下游的客户

薄膜沉积设备市场规模和预测

近年来,半导体设备市场在持续保持快速增长。根据SEMI数据统计,2016-2021年全球及中国半导体设备市场空间CAGR分别达20%、36%,2021年全球半导体制造设备的销售额约1026亿美元,同比增长约44%,2021年中国大陆地区半导体设备销售规模达约296.2亿美元,同比增长约58%,占全球销售额29%,中国大陆2020年、2021年均为全球半导体设备最大市场。根据 Maximize Market Research 数据统计,2017-2019 年全球半导体薄膜沉积设备市场规模分别为 125 亿美元、145 亿美元和 155 亿美元,2020 年扩大至约 172 亿美元,年复合增长率为 11.2%。

随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。 Maximize Market Research 预计全球半导体薄膜沉积设备市场规模在 2025 年将从 2020 年的 172 亿美元扩大至 340 亿美元,保持年复合13.3%的增长速度。

图10:2016-2022H1全球及中国半导体设备销售额(亿美元)

图11:全球半导体薄膜沉积设备市场规模(亿美元)

半导体设备行业具有很高的技术壁垒、市场壁垒和客户准入壁垒。目前拓荆公司产品的竞争对手主要为国际知名半导体设备制造商,与中国大陆半导体专用设备企业相比,国际巨头企业拥有客户端先发优势,产品线丰富、技术储备深厚、研发团队成熟、资金实力较强等优势,国际巨头还能为同时购买多种产品的客户提供捆绑折扣。从各细分市场来看,在CVD设备全球市场中,应用材料(AMAT)、泛林半导体(Lam)、东京电子(TEL)等三大厂商占据了全球70%的市场份额;在ALD设备全球市场中,ALD设备龙头TEL、先晶半导体(ASMI)的市场占有率分别为31%和29%;而在PVD设备市场中,应用材料以85%的市场占有率处于绝对龙头低位。相比国际巨头,拓荆科技的综合竞争力处于弱势地位,市场占有率较低。

图12:CVD、ALD、PVD市场竞争格局

图13:国内部分ALD设备厂商进程

晶圆厂系半导体专用设备的下游客户,晶圆厂产能投资规模决定了半导体专用设备的市场空间。晶圆厂的扩产投资受到集成电路终端产品销售市场变动、晶圆厂新技术导入计划、晶圆厂对于未来行业发展判断的影响,具有一定的周期性。随着产线的逐渐升级,晶圆制造的复杂度和工序量都大大提升,在实现相同芯片制造产能的情况下,晶圆厂对薄膜沉积设备的需求量和性能也将相应增加。以中芯国际的不同制程逻辑芯片产线为例,从180nm 8寸晶圆产线到90nm 12寸晶圆产线,产线对CVD设备的需求量从月产能每万片9.9台增至42台,PVD设备的需求量从月产能每万片4.8台增至24台,需求量提升了4-5倍,因此国内12寸产线的资本性支出将直接影响国产薄膜沉积设备的出货需求。

图14:中芯国际产线升级对薄膜设备的需求情况

拓荆科技与同类公司财务对比

拓荆科技2021年的营业总收入为7.58亿元,同比增长了73.99%,归母净利润为0.68亿元,实现扭亏为盈,但是扣除非经常性损益1.5亿元,扣非归母净利润为-0.82亿元,整体来看,公司营收实现高增长,2019-2021年营收复合增长率为73.7%,但是不断攀升的研发费用率仍然是掣肘公司业绩释放的主要原因。公司2022年前三个季度实现营收9.92亿元,同比增长165.19%,归母净利润2.37亿元,同比增长309.73%,扣非后归母净利润为1.12亿,预估整年营收为15亿元,2022年1月30日公司发布全年业绩预告,实现营业收入16亿元至17.2亿元,与上年同期相比增长117.69%至126.92%。国内晶圆厂旺盛的国产设备需求支撑公司业绩,但是公司四季度受全球半导体景气下行的影响。

图15:拓荆科技近几年营收和归母净利润情况(万)

拆分拓荆科技的收入结构来看,2020-2022E公司PECVD设备的营业收入分别为4.18/6.75/13.57亿元,同比增长69%/62%/345%(截止到2022Q3),占据公司主要的营收品类,并且呈高速增长趋势;ALD设备的营业收入分别为0.02/0.29/0.57亿元,SACVD设备的营业收入分别为0.08/0.41/0.82亿元,2021年也都贡献了一定的收入,目前整体规模不是很大,其中SACVD设备2020年首次实现销售收入。

图16:拓荆科技产品分类营收情况(亿)

此外,选取三家半导体前道设备厂商北方华创、中微公司和盛美上海作为对比公司。拓荆公司营收在2021年完成了跨越式的增长,但是相较国内相对成熟的半导体设备平台化的公司北方华创,公司整体的营收规模仍然较小。这主要是拓荆当前尚未进行平台化布局,业务线也相对单一,公司尚处于体量较小的发展早期,规模效应不显著,营收上升空间有限,但是考虑到2022-2023年国内产线扩产进度预计快于国外,相较清洗等其它设备,薄膜沉积市场空间更大,而当前公司份额又相对较低,预计未来国内产线份额会有较大成长空间。

图17:同类型公司营收简况对比

观察拓荆科技2022H1的合同负债和存货情况,可以发现拓荆科技2022H1成品存货为2亿元,而2022Q3公司产品合同负债接近9.3亿元,此外2022H1公司原材料存货4亿元,2022Q3整体存货20.9亿元,原材料存货意愿较强,库存处于高位水平反映出公司在手订单充足,设备企业存货多为未转销的在产品和发出商品,同类别公司库存水位均处于高位,未来业绩支撑性仍然较强。综合来看,公司可用转销资源充沛,在手订单状况充裕,对未来市场有较强的预期展望,预期2023年公司的营收增速将继续保持。

图18:拓荆公司2018-2022Q3合同负债及存货情况

图19:2022H1拓荆科技及同类别公司存货分类情况(单位:亿元)

此外,拓荆科技近期推股权激励计划,也有利于公司长远发展。公司于2022年10月1日推股权激励计划(草案),授予价格105元,设定业绩考核目标:22-25年营收不低于15.16/22.74/30.32/37.90亿元,净利润不低于2.53/4.04/5.48/6.58亿元;预计摊销总费用5.78亿元,22-26年分别计提0.25/2.84/1.52/0.83/0.35亿元。

从财务数据表现整体来看,2022年是拓荆科技的分水岭,市场根据PECVD设备放量的优秀表现也给予了较高的估值水平,而未来公司整体的成长逻辑要多考虑ALD和SACVD的产品市场开拓能力。

结语

美国对中国半导体产业制裁升级,对128层及以上NAND芯片、18nm及以下DRAM相关设备进一步管控,对拓荆科技亦有间接影响;不过,公司存储客户占比小于逻辑客户,且短期存储扩产影响较小。中长期来看,随着美国对中国半导体产业持续打压,会加速半导体产业自主可控,尤其加速设备环节的进口替代。作为薄膜沉积设备国产领军企业,公司核心产品PECVD与ALD设备价值量在半导体设备投资占比超10%,公司未来在稳固PECVD市场竞争力的同时,SACVD&ALD也持续去做产业化突破,成长空间也预期进一步拓宽。

PECVD:公司核心产品,在国内市占率依然较低,2021年不足6%。公司PECVD已全面覆盖逻辑、DRAM存储、FLASH闪存各技术节点通用介质薄膜,在14nm及10nm以下制程积极配合客户完成产业验证,随着验证顺利推进,增速有预期增长。

SACVD:适用于45-10nm沟槽填充,产品矩阵不断完善,2020年客户验证机台增加,预计2023年有望进入放量阶段。

ALD:公司率先实现PE-ALD产业化,同时布局Thermal-ALD,IPO募投项目将助力大规模产业化进程。

无论战略意义还是价值空间,薄膜沉积都是亟待突破的重要环节之一,当前拓荆科技在部分环节已完成了国产替代;未来,制程升级、多层趋势和新兴工艺将持续驱动全球薄膜沉积设备市场的成长空间,因此建议关注此类公司。


责编: 邓文标
来源:爱集微 #拓荆科技# #深度报告#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...