快人一步!新思科技EDA全流程获三星最新4LPP工艺认证

来源:新思科技 #新思科技# #4LPP# #三星#
2w

新思科技Fusion Design Platform和Custom Design Platform率先获得三星晶圆厂(以下简称为“三星”)4LPP工艺认证。作为三星全面技术路线图的一部分,4LPP工艺旨在协助芯片厂商设计和交付速度更快、功耗更低的芯片。

新思科技3DIC Compiler已获得三星多裸晶芯片集成 (MDI) 流程验证。MDI流程集成了4LPP工艺先进技术,可提高多达数千亿个晶体管的扩展性。

面向4LPP工艺的新思科技DesignWare IP具有低延迟、低功耗和高带宽的优势,可降低集成风险。

新思科技(SNPS)近日宣布,其完整的EDA流程已获得三星全新4LPP(4纳米低功耗+)工艺认证。4LPP是三星独特FinFET技术的全新工艺,能够提升芯片密度和性能、减少功耗,为当前高需求的应用(包括高性能计算、AI和5G基础设施)提供支持。

经三星4LPP工艺认证的新思科技解决方案包括完整的数字、模拟、混合信号实施以及签核流程。此外,新思科技与三星的合作还包括在三星多裸晶芯片集成(MDI™)流程中采用新思科技3DIC Compiler解决方案,MDI流程已经在4LPP技术上得到了验证。3DIC Compiler是完整覆盖从初步规划到签核的3D解决方案,可处理包含数千亿晶体管的复杂性,并推动功耗、性能和面积(PPA)方面的优化。新思科技同时在开发面向4LPP工艺的DesignWare® 基础IP和接口IP的产品组合,为开发者在该工艺上开发的芯片提供低延迟、高带宽和低功耗的解决方案。

“三星很高兴能与新思科技密切合作,为我们的4LPP工艺提供完整的EDA流程。在三星持续推进全新技术路线图(例如即将推出的3nm全环栅工艺)的过程中,新思科技是可信赖的理想合作伙伴,能够与我们携手前行,不断推动新工艺节点的演进和采用。”

Sangyun Kim

三星电子晶圆厂设计技术团队副总裁

三星SAFE-QEDA计划旨在降低采用新工艺节点的风险,而新思科技是首家通过SAFE-QEDA计划并获得4LPP工艺全流程认证的三星EDA合作伙伴,双方的合作将协助客户降低采用新工艺的风险和成本并缩短周转时间。

“我们与三星的密切合作将继续加速技术演进,以推动高性能计算、AI加速器、AR/VR和其他流行应用领域的创新。获得三星4LPP工艺的认证,充分彰显了我们的解决方案可提供高水平的硅相关性和设计鲁棒性,帮助芯片开发者实现理想PPA,加速其芯片上市。”

Shankar Krishnamoorthy

新思科技芯片实现事业部总经理

经三星认证的新思科技数字设计解决方案基于Fusion Design Platform™,该平台凭借单数据模型和机器学习能力,覆盖“从设计到制造”的整个芯片生命周期,可加速超融合创新设计的开发。经三星流程认证的解决方案包括:

●FusionCompiler™ RTL-to-GDSII数字实施解决

   方案

●ICCompiler™ II布局布线解决方案

●3DICCompiler统一初步规划到签核3D解决方案

●DesignCompiler® Graphical综合解决方案

●DesignCompiler NXT RTL综合解决方案

●TestMAXDFT高级测试用设计解决方案

●TestMAXATPG高级模式生成解决方案

●StarRC™金牌签核寄生参数提取解决方案

●PrimeTime®静态时序分析解决方案

●PrimePowerRTL签核功耗分析解决方案

●ICValidator™物理认证解决方案

经三星认证的新思科技定制设计解决方案基于Custom Design Platform,该平台包括PrimeSim™ Continuum模拟解决方案,为模拟和混合信号设计提供统一的设计和验证工具。PrimeSim Continuum解决方案包括PrimeSim HSPICE、PrimeSim SPICE、PrimeSim Pro和PrimeSim XA模拟器。经三星流程认证的的其他解决方案包括:

●PrimeSimEMIR分析解决方案,用于晶体管级

   功耗签核

●CustomCompiler™设计环境,用于全定制模

   拟、定制数字和混合信号集成电路

●SiliconSmart®电池、I/O和内存特性解决方案

●PrimeLib统一库特性和验证解决方案

新思科技正在为三星的4LPP工艺开发广泛的DesignWare IP产品组合,其中包括:

●多协议32G PHY IP,包括PCI Express® 5.0和

   25G以太网

●嵌入式存储器,包括TCAM

●逻辑库

●通用I/O(GPIO)

●高性能内核 (HPC) 设计套件

责编: 爱集微
来源:新思科技 #新思科技# #4LPP# #三星#
THE END
关闭
加载

PDF 加载中...