各大EDA巨头都在干什么?——涌动的AI浪潮 !

来源:爱集微 #EDA#
11.9w

本文作者:YMIJW

电子设计自动化(EDA)始于 1980 年代初,数十年来,电子设计自动化软件一直在提高半导体设计生产力,而今天的EDA 工具已融入现代芯片设计的方方面面。EDA作为支撑集成电路产业的基础与工具,伴随摩尔定律的演进、芯片设计规模的增大、制造工艺复杂度的攀升以及产品成本与上市时间的压力,为现代EDA业带来了巨大挑战。 

为应对挑战, 现代EDA 都在将 AI/ML 技术应用于集成电路研究,应用到芯片及系统设计和工艺中。  因人工智能、机器学习的发展,使得集成电路设计方法学发生了颠覆性的变革,由基于传统的分析和优化技术的集成电路“辅助”设计方法学向以数据驱动机器学习为重要手段的集成电路“智能”设计方法学演变。EDA运用人工智能和机器学习体现在:数据快速提取模型、布局中的热点检测、布局和布线、电路仿真模型、性能、功耗、面积(PPA)的优化决策,从常规的经验模型向基于深度学习的训练和推理模型转变。相应地,人工智能和机器学习引发了人们对结合二者来解决 EDA和芯片设计制造的任务的更多兴趣。 而新一代集成人工智能技术的电子自动化设计工具,将能够在降低开发成本、缩短投放市场的时间、提升性能、增加良率等方面发挥巨大作用,可以说,人工智能驱动的电子设计自动化将重新定义芯片设计和制造!

一、SYNOPSYS

据悉,三星正在使用人工智能来设计Exynos芯片组,这些芯片组将用在三星和其他厂商的下一代智能手机当中。而三星使用EDA厂商新思科技(Synopsys)提供的AI功能——DSO.ai——来构建即将推出的 Exynos 移动 SoC。新思科技董事长Aart de Geus 博士表示,DSO.ai是第一个用于处理器设计的商业AI软件。业内专家则认为,Synopsys的DSO.ai工具可以加速芯片开发 。 依托Synopsys 数十年构建芯片设计工具的经验提供了丰富的训练模型数据集,人们有理由对人工智能改进芯片设计的长期潜力持乐观态度。

在 Hot Chips 2021 上,Synopsys还宣布了一项雄心勃勃的计划,旨在开发一套完全集成的、依赖人工智能的EDA 工具。这些工具有望降低芯片开发成本、缩短上市时间、提高性能并提高成品率。因现代芯片的物理设计是一个昂贵且困难的过程,随着制程越来越小,IC设计成本也在不断攀升。 如今,开发新的 CPU 或 GPU 架构需要数年时间,而设计芯片的物理实现可能需要大约 24 个月或更长时间。据 IBS 称,设计 3nm 器件的成本从 5 亿美元到 15 亿美元不等,工艺开发成本从 40 亿美元到 50 亿美元不等,而一家晶圆厂的运营成本在 150 亿到 200 亿美元之间,并非所有公司都能负担得起这样的成本。但是,Synopsys 表示,通过使用支持 AI 的设计工具可将这些成本减少多达 50%,并将开发时间减少几个数量级。

 芯片设计是一项极其复杂的工作,以至于只有少数公司在这个领域取得了成功。 但如果设计一个芯片不那么困难呢?人工智能会成为实现这一目标的部分解决方案吗?这就是 Synopsys 首席执行官 Aart de Geus 博士在Hot Chips 2021 主题演讲中提出的问题。“现在每个垂直市场都在投资人工智能,以变得更聪明、更高效、更有效。”他说,“人工智能可以设计芯片吗? 答案是肯定的。”

除上述合作案例之外,据悉新思科技还与瑞萨电子(Renesas)合作,将DSO.ai设计系统引入先进汽车芯片设计中,DSO.ai已被证明可自动收敛到性能、功耗、面积(PPA)目标,从而可提高设计团队的整体效率。

在传统的EDA计算范式和设计方法论之外,AI已向我们展示了一种新的思路和解决方案,我们期待依赖人工智能的、高度整合的EDA工具更加强大,甚至成为工程师的伙伴 !

二、CADENCE

EDA巨头 Cadence 今年7 月宣布推出 Cadence  Cerebrus Intelligent Chip Explorer——首款创新的基于机器学习(ML)的设计工具。Cerebrus 和 Cadence RTL-to-signoff 流程强强联合,为高阶工艺芯片设计师、CAD 团队和 IP 开发者提供支持。据悉,与人工方法相比,将工程生产力提高多达 10 倍,同时最多可将功耗、性能和面积结果改善 20%。Cerebrus 为客户带来的优势包括: 增强的机器学习 、机器学习模型复用、可让工程师同时为多个区块自动优化完整的 RTL-to-GDS 流程以提高整个设计团队的工作效率、大规模分布式计算以及易于使用的界面等。

5G通信、自动驾驶、超大规模计算和IIoT 驱动着产品快速迭代,迫切需要更自动化的芯片设计。而易于访问的分布式计算和机器学习计算机科学的最新进展为下一个芯片设计生产力突破提供了必要的技术。 Cadence 的 Cerebrus Intelligent Chip Explorer 利用这种大规模分布式计算能力和独特的强化学习引擎,结合 Cadence 数字全流程解决方案,可更快地提供更好的 PPA。 据介绍,Cerebrus AI工具缩短了 5nm 和 3nm 芯片的开发时间,并已被瑞萨电子和三星代工厂使用。

在今年6月举办的Cadence LIVE Americas大会上,Cadence 首席执行官 Lip-Bu Tan先生推出了新型Allegro X 设计平台,总裁Anirudh Devgan 博士在他的主题演讲中更详细地介绍了 Allegro X。 他提及,一个现代的基于电路板的高性能系统不仅涉及电路板,还涉及电缆和连接器、信号完整性、热分析、射频、多个设计组和整个设计工具组合, Allegro X首次为系统设计统一了原理图、版图、分析、设计协作和数据管理。借助Allegro X平台,可利用云计算资源合成全部或部分的PCB设计。并且,创新的机器学习(ML)技术在完成架构师和SI/PI工程师指定的PDN设计、器件布局与信号连接的同时,可同步优化设计的可制造性及SI/PI设计需求。

三、SIEMENS EDA

在收购Mentor之后, 西门子EDA于2019 年 5 月 宣布推出人工智能/机器学习 (AI/ML) 开发套件,为 Calibre 工具增加 AI/ML 功能,以加快更智能的 IC 创新。

此外,该公司的 Catapult 软件高级综合(HLS)工具包和生态系统旨在帮助客户快速启动机器学习 IC 架构的开发。同时,西门子EDA 在整个 Calibre 平台上增加了 AI / ML 基础设施,并推出了两种AI / ML技术,即Calibre Machine Learning OPC(mlOPC)和LFD with Machine Learning。这两种技术都可以利用机器学习使软件获得更快、更准确的结果。 Catapult HLS AI工具包可帮助开发人员使用基于AI/ML的加速器进行边缘应用。该套件基于HLS C++,提供了对象检测参考设计和IP,可帮助人员设计快速找到神经网络加速器引擎的最佳功率、性能和区域实现。该方案还包括一个完整的设置,用于构建 AI / ML 演示器平台,在 FPGA平台上提供实时 HDMI 馈送。 同时,新的Calibre mlOPC产品的OPC运行时间提高了3倍,实现高达75%的OPC运行时间。

人工智能和机器学习为EDA厂商打破效率瓶颈提供了有效的武器。 在计算光刻中,西门子 EDA的软件采用了机器学习,能用3倍的速度以纳米级的准确度来预测OPC(Optical Proximity Correction,光学邻近效应校正)输出,在LFD制造中,还能预测产量限制因素和制定设计准则。在深度数据分析中使用机器学习以后,西门子EDA的Solido软件能进行变化性可感知的设计和特征提取,而在由诊断驱动的产量分析中,基于机器学习的软件YieldInsight则可以大大提高客户FinFET设计的良率分析能力。

四、ZUKEN

可以说,机器学习为解决 PCB 设计中的布线和元件放置挑战提供了重要机会。日本软件公司Zuken同样关注PCB设计的人工智能和机器学习。Zuken当前的研发方法包括建立一个自动学习系统,如 Deep Mind 项目 AlphaGo Zero,并正在评估如何衡量路由成功,因为对于任何给定情况,人们对最佳路由解决方案的看法可能大不相同。  

云计算、大数据、增强现实和AI正在为寻求创造更智能机器和流程的制造商提供新的机会。 Zuken 有持续的研发计划,专注于利用这些领域的最新进展开发新的应用程序。  

五、ANSYS 

Ansys 宣布将名为“KNN(K-Nearest-Neighbor)”的机器学习算法应用于 EM(Electro Migration)签核工具,该工具可验证电流是否流动甚至适用于 EDA产品。芯片电流若过于集中在同一处,会引发问题,一如超载路段的道路容易受损。KNN 利用机器学习技术,自动检查电流是否平均,Nvidia 设计的 GPU 就用 KNN 加以检查。 “根据学习水平,人工智能可以更准确、更快地捕捉问题,而无需任何人的帮助。” Ansys 半导体部门首席技术师 Norman Chang 说, “看到 NVIDIA 成功案例的韩国客户对我们的技术表现出高度的兴趣。”

全球半导体企业对人工智能设计表现出浓厚兴趣的原因在于生产成本。由于一旦工艺变得更精细,可以从单片晶圆中提取的芯片数量会增加,因此需要降低生产成本。

六、国内EDA厂商:华大九天、芯华章、芯和

国内EDA企业在AI技术应用方面也有建树。华大九天Empyrean Qualib-AI 工艺及单元库分析验证方案,是一款对IP核和Standard Cell进行质量验证的产品,对提升工艺评估及设计质量起到了很好的作用。经过实践,华大九天实现了AI在IP核质量验证上的落地,IP核 timing arc 验证周期从数周降低到1天,arc预测精度达到99%以上,大幅提升了验证效率 。Qualib-AI产品曾在 DAC 2019上展出,已被全球领先芯片设计公司采用并作为其IP核质量验证的标准设计流程。

EDA新锐芯华章则以新一代人工智能算法、机器学习与云计算等前沿技术为依托,重构集成电路验证系统的底层运算架构, 以期突破现今验证技术在验证效率、工具扩展性、设计可适配性、低功耗、功能安全等挑战,提升芯片研发效率,带动EDA向智能化发展。

国产EDA领军企业芯和半导体的PDK自动建模平台iModeler基于Supervised Machine Learning引擎(XMLE)打造,支持先进工艺中电感、电容、传输线和变压器等主要器件的高精度建模、正向查找和反向综合等功能,以先进的AI技术帮助模拟/射频工程师找出最优设计方案并快速实现设计收敛。

七、芯片大厂走在前列——NVIDIA

英伟达(NVIDIA)使用了多种人工智能技术,包括强化学习 (RL) 和遗传算法,以通过其 NVcell 工具增强其先进技术节点中的标准单元布局。

在今年12月即将召开的设计自动化会议(DAC)的一篇预印论文中,Mark Ren、Matt Fojtik和Brucek Khailany的团队开发了一种被称为NVCell的自动标准单元版图生成器,可为先进技术节点的行业标准单元库中92%的单行单元生成面积相等或更小的版图。

NVIDIA 于 2020 年9 月在 IEEE Micro上刊载了一篇题为“用机器学习加速芯片设计”的文章。该文章总结了 NVIDIA 在 AI for EDA 领域的研究工作,并提出了人工智能辅助芯片设计的一些设想,文章回顾了在自动设计空间探索、功耗分析、VLSI 物理设计和模拟设计领域应用深度卷积神经网络和基于图神经网络等技术的研究,并提出了人工智能辅助自动化芯片设计工作流程的未来愿景,以帮助设计人员提高生产力并自动执行优化任务。

八、互联网大厂走在前列——GOOGLE         

谷歌多年来一直在研究使用机器学习系统帮助工程师设计新一代机器学习芯片。谷歌工程师表示,算法设计的芯片质量和人工设计“相当”甚至“还要更好”,但完成速度要快得多。谷歌表示,人工智能可以在不到6小时的时间内完成人工需要数月时间完成的芯片设计工作。谷歌员工发表在今年六月《自然》杂志的一篇论文证实此类研究已经应用于商业产品。 目前谷歌已开始用人工智能设计自家的TPU芯片。据悉,TPU芯片是应用于人工智能的芯片,专门针对人工智能计算进行了优化。“我们的方法已经用于生产设计新一代谷歌TPU。”谷歌研究科学家、论文作者阿扎莉亚·米尔霍塞尼(Azalia Mirhoseini)和安娜·戈尔迪(Anna Goldie)写道。这家科技巨头的工程师在论文中指出,这一突破可能对半导体行业产生“重大影响”。

谷歌的芯片设计算法并没有发生什么戏剧性的变化,但它的平面图仍然与人类创建的平面图完全不同。子系统不是整齐排列在芯片上的组件,而是看起来几乎随机散布在硅片上。 Nature 的插图显示了差异,左侧是人类设计,右侧是机器学习设计。

多年来我们已经知道人工智能可以在围棋、星际争霸等游戏中击败人类。然而,人们普遍认为人工智能在设计事物方面无法击败人类,但事实并非如此。 在设计芯片时,布局布线费时费力要求高,版图上所有物理部件包括CPU、GPU 和内存等组件的位置摆放都会对芯片功耗、性能和面积产生巨大影响,即使对于技术水平高且技术娴熟的工程师来说,恰到好处地完成设计需要时间,但如果可以训练人工智能来做到这一点,那么可以大量节省时间和成本。

  、FPGA大厂走在前列——XILINX

FPGA厂商也不甘寂寞,Xilinx推出了 Vivado ML 版本,这是业界首个基于机器学习 (ML) 优化算法以及基于其高级设计流程的 FPGA EDA 工具套件,可显著节省设计时间和成本。与当前的 Vivado HLx 版本相比,Vivado ML 版本的编译时间缩短了 5 倍,复杂设计的结果质量 (QoR) 平均提高了 10%。 基于机器学习的优化 Vivado ML 版本支持基于 ML 的算法来加速设计收敛。该技术具有基于 ML 的逻辑优化、延迟估计和智能设计运行,可自动执行减少时序收敛迭代的策略。通过提供一键式方法来积极改进时序结果,生成 QoR 建议,并提供专家级质量结果,同时减少用户分析——尤其是对于难以完成的设计。

Xilinx 还引入了抽象 Shell 的概念,它允许用户在系统内定义多个模块以进行增量和并行编译。与传统的完整系统编译相比,这使平均编译时间减少了 5 倍,最多减少了 17 倍。 Abstract Shell 还通过将设计细节隐藏在模块之外来帮助保护客户的 IP,这对于 FPGA 即服务和增值系统集成商等应用至关重要。

此外,Vivado ML Editions 改进了与 Vivado IP Integrator 的协作设计,从而使用新的“块设计容器”功能实现模块化设计。此功能促进了基于团队的设计方法,并允许采用分而治之的策略来处理多站点合作的大型设计。动态函数交换 (DFX) 等独特的适应性功能通过在运行时以无线方式动态加载自定义硬件加速器,可以更有效地利用芯片资源。

十、制造大厂走在前列——TSMC

不仅仅是EDA和芯片设计尝试人工智能,芯片制造企业也参与其中。 在 2017 年国际物理设计研讨会(ISPD)上,台积电在报告中介绍了 ML Design Enablement Platform机器学习设计支持平台, 将允许设计人员创建自定义脚本,以用于其它设计。将机器学习应用于复杂的物理设计问题 ,包括布局布线机器学习实验、特征提取与卷积神经网络数据映射等。基于机器学习的设计解决方案利用重要的统计特征消除人为和固定模型的主观偏见,通过采用ML Platform预测设计改进。

十一、初创企业锐意进取——MOTIVO和壁仞科技

不仅芯片大厂加速AI技术运用,初创企业也参与其中。2021年8月12日,人工智能芯片设计和数据分析解决方案开发商 Motivo宣布筹集了 1200 万美元的 A 轮融资,由英特尔资本牵头,其他新投资者包括Storm Ventures 和 Seraph Group 以及 Inventus Capital 的参与以及其他现有投资者,其中包括多名 IC 行业高管。 此次融资是在早期的 800 万美元种子轮融资之后进行的,使得总融资额达到 2000 万美元。

Motivo 联合创始人兼首席执行官 Bharath Rangarajan 博士在芯片行业工作了 30 年,对芯片设计和制造流程有深刻的理解。 通过这轮超额认购的融资,Motivo 将大踏步地实现其愿景,将人工智能用于设计过程,在生产周期中更快、更准确地将芯片推向市场,并提高下一代芯片的良率 。

国内明星初创企业壁仞科技最近也发布了“学习方法在数字芯片设计中的应用”一文, 讲述ML方法在芯片设计领域的应用,介绍了在芯片开发过程中,ML方法在整体解决或者辅助芯片工程人员解决各类问题中大量的尝试。文章分别介绍了架构、软件、硬件、系统开发中一些应用的机器学习的方法和实例,包括硬件开发中从逻辑设计/验证、逻辑综合、可测性设计、布局规划、布局、时钟树综合、布线、物理验证等,通过使用机器学习能够解决跨流程多目标联合优化问题,不单单局限于某一个流程或者问题,使用机器学习和深度学习两种技术融合来解决实际问题也取得了很好的效果 。

十二、海内外学研机构参与亮眼

位于伊利诺伊大学厄巴纳-香槟分校(UIUC)的机器学习实现先进电子研究中心 (CAEML) ,其任务是通过创建机器学习算法来推导用于电子设计自动化的模型,从而实现微电子电路和系统的快速、准确设计和验证。

CAEML 联合了大学和行业机构,包括北卡罗来纳州立大学(North Carolina State University)和佐治亚理工学院(Georgia Institute of Technology), 2021 年的行业成员包括ADI、HPE、IBM、Intel、Samsung等八家机构。CAEML在研项目包括使用机器学习的网表到 PPA 预测、用于电路老化(包括随机效应)的高效计算仿真的 RNN 模型等十二个项目,已经完成的研究项目包括用于微电子电路和系统行为建模的模块化机器学习、通过机器学习重用IP、使用深层网络检查设计规则等十二个项目。

新加坡科技研究院 A*STAR在AI 芯片设计方面也开展了工作。 A*STAR的研究人员开发了一个 AI 芯片设计平台Smart IC Design with Learning Enablement (SMILE),该平台具有加速设计优化、 缩短 IC 设计周转时间并将生产力显著提高优势,并可实现快速 IP 开发。即使在没有经验丰富的设计师的情况下,它也可以实现加速和自动化的设计收敛。 为了证明其有效性,该平台已在 A*STAR 实验室内部部署,研究人员能够在一天之内展示出与最佳人工优化设计相比,经过硅验证的性能提升了两倍。 开发的能力是深度学习和电路设计的交叉点。它结合了A*STAR微电子研究所 (IME) 的集成电路设计和电子设计与自动化 (EDA) 专业知识,以及信息通信研究所的 AI 算法。

卡内基梅隆大学、Cadence 与 NVIDIA 共同参与了IDEA项目,旨在利用先进的机器学习技术为 SoC 和系统封装(SiP)和 PCB 打造统一平台,开发完整的智能设计流程,进一步实现更加自动化的电子设计能力。Cadence、与NVIDIA 和卡内基梅隆大学成立了MAGESTIC 研发项目,开发由设计端所驱动的产品,为系统设计奠定基础。

在国内,清华大学计算机科学与技术系与北京航空航天大学计算机学院老师在发表的文章中,描述了在开源的 DREAMPlace 算法的基础上提出并实现了一种基于深度学习的可布线性驱动布局算法 DrPlace算法模型,在总体上设计并实现了布局器的整体框架, 集成了基于深度学习的可布线性驱动总体布局、可布线性驱动的合法化和详细布局。在总体布局过程中, 在目标函数中加入了引脚密度函数, 并实现了基于 GPU 的引脚密度的关键内核。北京大学计算机科学技术系老师研究基于机器学习的设计自动化,利用深度学习改进传统布局布线算法。

目前卷积神经网络(CNN)在热点检测中起到越来越重要的作用,但是在芯片设计制造过程中标签数据获取的代价是比较高的,复旦大学陈建利老师团队对此进行了研究,他们采用主动学习框架减轻对标签的需求。主动学习的一个重要步骤是筛选采样出最有用的信息到训练集,这个过程也带来了训练标签的制作成本。以往对数据采样的研究主要针对两个问题,分别是数据的不确定性和数据的多样性,他们在论文中提出了一种新的基于模型校准的批模式选择算法,通过计算指标熵值评估包含信息量,根据包含信息量协调不确定性和多样性对采样结果的影响以获得更好的模型。

广东工业大学微电子学院有集成电路AI EDA团队开展工作,他们在EDA参数空间探索以及传统布局布线方面进行了有益的研究。西南交大信息学院邸志雄老师重点研究芯片物理实现算法,团队在“集成电路EDA设计精英挑战赛”中荣获三次全国一等奖。团队提出了芯片布局阶段合法化算法与布线算法,将图神经网络引入可布线性预测中,该模型可在小规模数据集实现较高的泛化性能,可有效提升布局算法质量,改善芯片布局,进一步证明了图神经网络在传统EAD算法领域有其独特的优势。电子科技大学、东南大学等高校在EDA人工智能和机器学习方面也开展了相关工作。

十三、学术资源

 清华大学汪玉教授团队联合香港中文大学 Bei Yu 教授组对机器学习技术在电子设计自动化领域应用的全面性回顾,为读者了解该领域提供了一份非常好的学习指南,针对该主题撰写的这篇 44 页的综述文章Machine Learning for Electronic Design Automation: A Survey,被 ACM TODAES 期刊录用。

Springer在2019年上架了《Machine Learning in VLSI Computer-Aided Design》(VLSI CAD中的机器学习),该书为读者提供了有关在超大规模集成电路(VLSI)的计算机辅助设计使用机器学习框架、方法、算法和技术的最新信息,涵盖的范围包括光刻、物理设计、成品率预测、硅后性能分析、可靠性和故障分析、功率和热分析、模拟设计、逻辑综合、验证和神经形态设计中使用的各种机器学习方法。可以说,超大规模集成电路的计算机辅助设计在充分利用机器学习技术以自动化进行最先进芯片的设计、验证和实施方面也走在了前列。

致谢!

感谢EDA及IC Design的企业家和资深工程师以及业内同仁、高校老师们的指点和指正!            

参考资料:

https://www.synopsys.com/  

https://www.cadence.com                                                                                  

https://semiengineering.com/                   

https://www.xilinx.com/news/media-kits/xilinx-brings-breakthrough-to-vivado-design-tools.html 

https://www.sdxcentral.com/articles/news/can-ai-design-faster-greener-chips-synopsys-says-yes/2021/08/                                                                                              

https://www.eenewseurope.com/news/ai-tool-cuts-3nm-chip-design-times                        

https://www.cnbc.com/2021/06/10/google-is-using-ai-to-design-chip-floorplans-faster-than-humans.html                                                                                                                       

https://research.a-star.edu.sg/articles/features/chip-design-with-ai-inside/  

https://www.theverge.com/2021/6/10/22527476/google-machine-learning-chip-design-tpu-floorplanning                                                                            

https://publish.illinois.edu/advancedelectronics/ 

https://research.nvidia.com/publication/2021-07_NVCell%3A-Standard-Cell           

https://www.zuken.com/                                                 

https://www.plm.automation.siemens.com                  

https://www.eettaiwan.com/20191001nt31-eda-tool-evolution-challenge-in-ai-era/ 

http://news.eeworld.com.cn/manufacture/ic467936.html 

https://cseweb.ucsd.edu//~hazhuang/papers/zhuang_aspdac2018.pdf 

https://semiwiki.com/ip/arm/7117-tsmc-eda-2-0-with-machine-learning-are-we-there-yet/https://www.techsugar.cn/eda 

责编: 慕容素娟
来源:爱集微 #EDA#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...